From 8d1b88d3c4809fda041cec0ba3bc5c7e88c8f026 Mon Sep 17 00:00:00 2001 From: Boris Kolpackov Date: Wed, 12 Aug 2020 16:34:44 +0200 Subject: Import additional Expat tweak --- libxsde/xsde/c/expat/xmltok_impl.c | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) (limited to 'libxsde') diff --git a/libxsde/xsde/c/expat/xmltok_impl.c b/libxsde/xsde/c/expat/xmltok_impl.c index 5410278..79ffafd 100644 --- a/libxsde/xsde/c/expat/xmltok_impl.c +++ b/libxsde/xsde/c/expat/xmltok_impl.c @@ -1762,11 +1762,12 @@ PREFIX(updatePosition)(const ENCODING *enc, #define LEAD_CASE(n) \ case BT_LEAD ## n: \ ptr += n; \ + pos->columnNumber++; \ break; LEAD_CASE(2) LEAD_CASE(3) LEAD_CASE(4) #undef LEAD_CASE case BT_LF: - pos->columnNumber = (XML_Size)-1; + pos->columnNumber = 0; pos->lineNumber++; ptr += MINBPC(enc); break; @@ -1775,13 +1776,13 @@ PREFIX(updatePosition)(const ENCODING *enc, ptr += MINBPC(enc); if (ptr < end && BYTE_TYPE(enc, ptr) == BT_LF) ptr += MINBPC(enc); - pos->columnNumber = (XML_Size)-1; + pos->columnNumber = 0; break; default: ptr += MINBPC(enc); + pos->columnNumber++; break; } - pos->columnNumber++; } } -- cgit v1.1